3나노가 우세하지만 2나노 공정을 위한 전투가 공식적으로 시작되었습니다.
올해 2월 한국 매체 세데일리에 따르면 삼성전자는 2나노 공정을 적극적으로 추진하고 있으며 퀄컴과 삼성전자의 LSI 사업부를 위한 프로토타입 생산을 논의했으며 현재 초기 테스트 단계에 있는 익명의 엑시노스가 있습니다. 지난 3월, 마벨은 TSMC와의 협력을 확대하여 가속 인프라에 최적화된 2나노 반도체를 생산할 수 있는 업계 최초의 기술 플랫폼을 개발한다고 발표했다.
이번 달, 애플의 최고운영책임자(COO)인 제프 윌리엄스(Jeff Williams)는 TSMC를 소박하게 방문한 것으로 밝혀졌으며, 그의 방문의 주요 목적은 회사의 2나노 생산 능력을 보장하는 것이었다.
이를 감안해 3대 웨이퍼 파운드리인 TSMC, 삼성전자, 인텔은 2나노를 두고 공개적이고 비밀리에 싸우고 있으며, 일본의 새로운 웨이퍼 공장인 라피두스(Rapidus)도 이에 대비하고 있다.
* TSMC, 예정대로 양산
최근 TSMC의 2nm를 둘러싼 많은 소문이 있었습니다.
그러나 대만 매체 비즈니스타임스에 따르면 장샤오강 TSMC 공정개발 부총괄장은 23일 포럼에서 "2나노 공정 개발이 순조롭게 진행되고 있다"며 "계획대로 2025년쯤 양산이 이뤄질 수 있다"며 "TSMC가 기술적 문제로 2나노 공정의 전체 양산을 2026년으로 연기할 것"이라는 추측을 반박했다.
TSMC는 공식 홈페이지를 통해 2나노를 소개하면서 첨단 로직 기술의 한계를 계속 넓히면서 핀펫을 훨씬 뛰어넘어 나노시트 트랜지스터를 특징으로 하는 일류 로직 기술인 2나노 노드의 상용화를 달성했다고 밝혔다. 업계를 선도하는 TSMC의 N2 기술은 우수한 낮은 Vdd 성능을 제공하므로 모바일 및 웨어러블 애플리케이션에 이상적입니다. 또한 N2의 초박형 적층형 나노시트는 HPC에 완전히 새로운 차원의 에너지 효율적인 컴퓨팅을 제공합니다. 성능을 더욱 향상시키기 위해 후면 파워 레일도 추가됩니다.
TSMC는 TSMC N2 기술이 전례 없는 혁신 기능을 발휘하기 위해 나노시트 기술의 타의 추종을 불허하는 이점을 활용하려는 고객을 위한 전략적 선택이라고 믿습니다.
TSMC는 지난 4월 북미 정상회담에서 2나노 반도에 대한 보다 구체적인 계획도 공개했다.
당시 TSMC에 따르면 TSMC는 2025년 하반기에 1세대 GAAFET N2 노드에서 칩 대량 생산을 시작할 예정이며, N2P는 2026년 말까지 N2를 계승할 예정이다. 동시에 전체 N2 시리즈에는 TSMC의 새로운 NanoFlex 기능이 추가되어 칩 설계자가 서로 다른 라이브러리의 셀을 혼합하고 일치시켜 성능, 전력 및 면적(PPA)을 최적화할 수 있습니다.
관련 보고는 이 정상회담에, TSMC에 의하여 중요한 발표가 생산 마디 (2nm 급, N2, N2P, N2X)의 회사의 완전한 N2 시리즈의 일부분일 TSMC의 NanoFlex 기술이라고 말했다. NanoFlex를 사용하면 칩 설계자가 동일한 설계에서 서로 다른 라이브러리(고성능, 저전력, 면적 효율성)의 셀을 혼합하고 일치시킬 수 있으므로 설계자가 칩 설계를 미세 조정하여 성능을 개선하거나 전력 소비를 줄일 수 있습니다.
TSMC의 최신 N3 제조 공정은 이미 FinFlex와 같은 유사한 기능을 지원하며, 이를 통해 설계자는 다양한 라이브러리의 장치를 사용할 수도 있습니다. 그러나 N2는 모든 게이트 (GAAFET) 나노 시트 트랜지스터에 의존하기 때문에, NanoFlex는 TSMC에 몇 가지 추가 제어를 제공합니다 : 첫째, TSMC는 채널 폭을 최적화하여 성능과 전력을 개선 한 다음 짧은 셀 (면적 및 전력 효율 향상) 또는 높은 셀 (15 % 성능 향상)을 구축 할 수 있습니다.
TSMC는 회사의 N2가 2025년에 위험 생산에 들어가고 2025년 하반기에 대량 생산(HVM)에 들어갈 것이라고 강조하므로 2026년에는 소매 장치에서 N2 칩을 볼 수 있을 것으로 보입니다. N3E와 비교했을 때, TSMC는 N2가 동일한 전력에서 10-15%의 성능 향상을 기대하거나, 동일한 주파수와 복잡성에서 전력 소비를 25-30% 줄일 것으로 기대하고 있다. 칩 밀도의 경우, 파운드리는 밀도를 15% 증가시킬 것으로 예상하고 있으며, 이는 현대 표준으로 볼 때 상당한 수준의 확장입니다.
2나노 이후에는 2026년에 성능이 향상된 N2P와 전압이 향상된 N2X가 출시될 예정입니다. TSMC는 N2P가 2026년에 후면 전력 공급 네트워크(BSPDN)를 추가할 것이라고 밝혔지만 그렇지 않은 것 같고 N2P는 일반 전력 공급 회로를 사용할 것입니다. 이유는 알 수 없지만 회사는 N2P에 값비싼 기능을 추가하지 않고 대신 2026년 말까지 고객도 사용할 수 있는 차세대 노드를 위해 유지하기로 결정한 것 같습니다.
그럼에도 불구하고 2nm는 전력 안정성을 개선하기 위해 추가된 초고성능 금속 절연체 금속(SHPMIM) 커패시터와 같은 전원 공급 장치의 주요 혁신을 약속합니다. SHPMIM 커패시터는 TSMC의 기존 초고밀도 금속 절연체 금속(SHDMIM) 커패시터보다 정전 용량 밀도가 두 배 이상 높습니다. 또한, 새로운 SHPMIM 커패시터는 이전 세대에 비해 박막 저항(Rs)(제곱당 옴)과 스루홀 저항(Rc)이 50% 감소했다.
2nm를 생산하기 위해 TSMC는 여러 공장을 계획했습니다.
올해 초 보도에 따르면 TSMC는 대만에 N2 칩을 생산할 수 있는 두 개의 제조 공장을 건설할 준비를 하고 있다. 첫 번째 공장은 N2 기술과 그 후속 기술을 개발하기 위해 특별히 건설된 R1 R&D 센터에 인접한 신주현 바오산 인근에 위치할 계획입니다. 이 시설은 2025년 하반기에 2나노 칩의 대량 생산(HVM)을 시작할 것으로 예상됩니다. N2 칩을 생산할 수 있는 두 번째 제조 공장은 가오슝 인근 대만 남부 과학 공원의 일부인 가오슝 과학 공원에 위치할 것입니다. 이 공장의 HVM 가동은 2026년쯤 조금 늦어질 것으로 예상됩니다.
또한 TSMC는 타이중 과학 단지에 또 다른 N2 가능 공장을 건설하기 위해 정부 승인을 얻기 위해 노력하고 있습니다. 회사가 2025년에 시설 건설을 시작하면 공장은 빠르면 2027년에 가동될 수 있습니다.
물론 미국 정부로부터 보조금을 받은 TSMC도 그곳에서 2나노를 생산할 예정이다. 당초 계획에 따르면 TSMC의 미국 내 두 번째 웨이퍼 팹은 기존에 발표한 3나노 기술 외에도 세계에서 가장 진보된 2나노 공정 기술을 사용해 차세대 나노시트 트랜지스터를 생산할 예정이며, 2028년부터 생산을 시작할 예정이다. 세 번째 팹은 2nm 이상의 첨단 공정을 사용하여 칩을 생산하고 2020년 말에 생산을 시작할 예정입니다.
* 삼성, 긍정적으로 대응
웨이퍼 팹 최초로 3나노를 양산한 삼성전자는 2나노에도 적극 대응하고 있다. 우선 대만 매체 디지타임즈의 루머가 있다.
삼성전자는 2세대 3나노 공정을 '2나노 공정'으로 명칭을 변경했으며 2024년 하반기 양산을 시작할 것으로 알려졌다.
삼성전자가 2세대 3나노 공정의 명칭을 2나노로 바꿀 것이라는 소문이 2023년 말부터 돌았지만, 지디넷코리아는 업계 관계자를 인용해 삼성전자가 2024년 초 '2세대 3나노 공정'을 '2나노 공정'으로 명칭을 변경하겠다고 고객과 파트너에게 알리기 시작했다고 보도했다.
업계 관계자에 따르면 삼성으로부터 사명 변경에 대한 통지를 받았기 때문에 재계약을 해야 한다.
애널리스트들은 사명 변경이 삼성전자의 파운드리 서비스 마케팅에 도움이 될 수 있다고 보고 있다. 삼성전자가 제조공정으로 이름을 바꾼 것도 이번이 처음이 아니다. 2020년 삼성전자는 7나노에서 5나노로 전환하면서 '2세대 7나노 공정'을 '5나노 공정'으로 이름을 바꿨다.
2022년 6월, 삼성전자는 세계 최초로 풀 게이트(GAA) 공정을 통해 3나노 칩을 양산했다. 회사는 2024년에 2세대 3나노 공정의 양산을 시작하고, 2025년에 2나노 공정의 양산을 시작할 계획이다. 리브랜딩 후 삼성전자는 2세대 3나노와 2나노 공정을 통합할 가능성이 높다. 삼성전자는 이르면 2024년 하반기부터 2나노 칩 양산을 시작할 수 있을 것으로 추측된다.
미국 매체 톰스하드웨어는 삼성 파운드리가 오는 6월 열린 VLSI 세미나에서 풀게이트(GAA) 트랜지스터를 활용한 3세대 공정 기술에 대해 자세히 설명할 예정이라고 보도했다. SF2라고 불리는 이 기술은 회사의 초기 2나노 규모 제조 공정이 될 것입니다. 이 노드는 성능과 효율성 측면에서 상당한 개선을 제공할 것으로 예상됩니다.
회사 자체 설명에 따르면 다가오는 노드는 고유한 에피택시 및 통합 프로세스를 통해 삼성의 멀티 브리지 채널 FET 아키텍처를 더욱 개선할 것입니다. 이를 통해 트랜지스터 성능을 11-46% 개선하고, 지정되지 않은 FinFET 기반 공정 기술에 비해 변동성을 26% 줄이고, 누출을 약 50% 줄일 수 있습니다.
삼성전자는 "3세대 MBCFET(SF2)는 고유한 에피택시 및 통합 프로세스를 도입함으로써 제품 성능을 극대화하여 게이트 서라운드의 이점을 극대화하고 제품 이득 및 확장성 및 GAA 구조 충돌을 극복할 수 있다"고 설명했다. 삼성전자에 따르면 SF2는 좁은 트랜지스터(N형)의 성능을 각각 29%, P형은 46%, 와이드 트랜지스터는 각각 11%, 23% 향상시킬 수 있다. 또한 FinFET 기술에 비해 전체 트랜지스터 변동을 26%, 제품 누출을 약 50% 줄입니다. 또한 이 프로세스는 고객과의 향상된 설계 기술 공동 최적화(DTCO) 협업을 통해 미래 기술 발전을 위한 토대를 마련합니다.
국내 매체 비즈니스코리아는 삼성전자가 기술의 한계를 뛰어넘을 뿐만 아니라 2나노급 제조 공정의 생태계를 강화하고 있다고 보도하기도 했다. 이 회사는 4,000개 이상의 IP를 보유한 50개 이상의 지적 재산권(IP) 파트너와 협력하고 있습니다. 그러나 명백한 이유로 그 중 일부만이 GAA 노드, 특히 SF2용입니다. 한편 올해 초 삼성전자와 Arm은 삼성전자의 풀게이트 트랜지스터 제조 기술을 수용하기 위해 Cortex-X 및 Cortex-A 코어를 공동으로 최적화하는 계약을 체결했다.
삼성전자에 따르면 SF2의 설계 인프라(PDK, EDA 툴, 라이선스 IP)는 2024년 2분기에 완성될 예정이다. 이 작업이 완료되면 삼성의 칩 개발 파트너는 해당 생산 노드에 대한 제품 설계를 시작할 수 있습니다.
이와 관련해 삼성전자는 올해 SF3로 알려진 2세대 3나노급 제조 공정을 활용한 칩 생산에 착수할 예정이다. 삼성의 1세대 3나노급 노드인 SF3E는 주로 이 기술을 사용하여 암호화폐 채굴 칩을 생산하기 때문에 특별히 성공하지 못했습니다. 그러나 삼성전자는 SF3 노드가 데이터센터 제품을 포함한 더 복잡한 설계를 포함하여 더 널리 사용되기를 원합니다.
보도에 따르면 삼성전자는 2025년 모바일 애플리케이션용 2나노 공정 양산을 시작하고, 2026년 슈퍼컴퓨터·컴퓨터 시장에서 고성능 컴퓨팅(HPC) 칩에 2나노 기술 적용을, 2027년까지 차량용 칩용 2나노 공정을 앞당길 예정이다.
SF2는 후면 전원을 도입한 최초의 삼성 파운드리 노드일 수 있다는 점을 언급할 가치가 있습니다. 삼성의 파운드리는 두 개의 ARM 칩에서 BSPDN 기술을 테스트한 결과 칩 크기가 각각 10%와 19% 감소하고 성능과 효율성이 최대 9% 향상되었다고 보고되었습니다. 보고서는 또한 테스트 결과가 회사의 성과 목표를 초과했다고 밝혔습니다.
삼성의 2nm 웨이퍼 팹과 관련하여 저자는 많은 정보를 찾지 못했습니다. 그러나 2047년까지 총 500조 원(3,710억 달러)을 한국 서울 인근의 "빅 클러스터" 반도체 프로젝트에 투자할 계획이라는 보고가 있습니다. 보도에 따르면 이 클러스터에는 13개의 칩 공장과 3개의 연구 시설이 포함되며 경기도의 여러 도시에 걸쳐 있을 예정이다.
TSMC와 마찬가지로 미국으로부터 보조금을 받은 삼성전자도 바다 건너편에서 2나노 칩을 생산할 예정이다. 보고서에 따르면 삼성전자는 텍사스주 테일러에 2026년부터 최첨단 2나노 칩을 생산할 새 공장을 건설할 예정이다.
* 자신감 넘치는 인텔
오랜 세월 첨단 기술에 뒤처져 있던 인텔은 2nm에 대한 자신감이 넘친다.
인텔 CEO 팻 겔싱어(Pat Gelsinger)는 지난해 말 인터뷰에서 18A 공정(1.8nm)이 TSMC N2보다 앞서 있으며 2년 이내에 이 분야에서 경쟁자가 없다고 밝혔다. 인텔의 수석 부사장 산제이 나타라잔(Sanjay Natarajan)은 올해 생산에 들어갈 20A 공정으로 "소형화를 주도할 것"이라고 밝히기도 했다.
관련 보고서에 따르면 이 노드는 IFS의 제품 포트폴리오와 반도체 산업에 혁명을 일으킬 것으로 예상됩니다. 20A 노드는 기존 FinFET 아키텍처를 새로운 RibbonFET 트랜지스터로 대체할 것으로 예상됩니다. 동시에 이 노드는 새로운 상호 연결 혁신인 PowerVia도 제공합니다.
팻 겔싱어(Pat Gelsinger)는 5월 실적 발표에서 회사의 1세대 올게이트(GAA) 리본펫 프로세스인 인텔 20A가 올해 출시될 예정이라고 밝혔다. 후속 제품은 인텔 18A로, 2025년 상반기에 생산에 들어가 곧 출시될 것이라고 밝혔다.
인텔의 5개년 4세대 프로세스의 마지막 노드인 인텔의 18A 프로세스는 회사의 분수령이 될 것이며 큰 기대를 걸고 있습니다. 인텔은 몇 년 만에 처음으로 성능면에서 경쟁사를 능가할 것이라고 말하며 인텔이 반도체 엔지니어링의 정점으로 복귀했음을 알렸습니다.
특히 기술 측면에서 IEEE에 따르면 올해 인텔이 출시한 인텔의 20A 프로세스(18A 프로세스보다 앞서)는 칩 제조 산업의 주요 기능 중 하나인 후면의 전원 공급 장치를 가져왔습니다. 인텔은 또한 상용 칩에 후면 전력을 도입하는 최초의 칩 제조업체가 될 것입니다. 지난 여름 인텔이 발표한 데이터에 따르면 후면 전원 공급 장치만으로도 성능이 6% 향상되었습니다.
Intel의 18A 프로세스 기술의 후면 전력 공급 네트워크 기술은 기본적으로 Intel의 20A 칩에서 볼 수 있는 것과 동일합니다. 그러나 이 기술은 클리어워터 포레스트에서 더 많이 사용되었습니다. 곧 출시될 CPU에는 기판 내에 소위 "온다이 전압 조정기"가 포함됩니다. 전압 레귤레이터가 구동하는 로직에 가깝다는 것은 로직이 더 빠르게 실행될 수 있음을 의미합니다. 거리가 짧을수록 레귤레이터는 더 적은 전력을 소비하면서 전류 수요의 변화에 더 빠르게 대응할 수 있습니다.
로직 칩은 후면에서 전원이 공급되기 때문에 전압 조정기와 로직 칩 사이의 연결 저항이 훨씬 낮습니다. 동시에 Foveros 스태킹과 함께 전력 스루홀 기술은 인텔에 매우 효율적인 연결 방법을 제공합니다.
후면 전원 공급 장치 외에도 칩 제조업체는 Intel의 20A 프로세스인 RibbonFET를 사용하는 또 다른 트랜지스터 아키텍처로 이동했습니다. RibbonFET는 2011년부터 CMOS의 주력 제품인 FinFET를 대체하는 나노시트 또는 풀 게이트 트랜지스터입니다. Intel 18A를 통해 Clearwater Forest의 로직 칩은 2세대 RibbonFET 프로세스를 사용하여 제작됩니다. Intel에 따르면 장치 자체는 Intel 20A에 도입된 장치와 크게 다르지 않지만 장치는 더 많은 설계 유연성을 가지고 있습니다.
이러한 유연성은 설계자가 시스템을 구축하는 데 사용할 수 있는 기본 논리 블록인 표준 셀에 다양한 특성을 가진 트랜지스터가 포함될 수 있음을 의미합니다. 이를 통해 인텔은 인텔의 20A 프로세스보다 더 작고, 성능이 우수하고, 더 효율적인 표준 셀로 "향상된 라이브러리"를 개발할 수 있었습니다.
인텔의 고객들은 인텔의 시스템 파운드리 서비스에 대한 지지를 표명한 것으로 알려졌으며, 마이크로소프트 회장 겸 CEO인 사티아 나델라(Satya Nadella)는 인텔 파운드리 다이렉트 커넥트(Intel Foundry Direct Connect) 컨퍼런스에서 마이크로소프트가 인텔의 18A 공정을 사용하여 인텔이 설계한 칩을 제조할 계획이라고 발표했다.
인텔의 계획에서 인텔의 두 경쟁사 간의 또 다른 차이점은 ASML의 최신 높은 NA EUV 리소그래피 기계를 최초로 채택했다는 것입니다. 인텔은 또한 업계 최초로 높은 개구수(High NA) 극자외선(EUV) 리소그래피 시스템을 받아 조립했다고 밝혔다. 새로운 도구는 차세대 프로세서의 해상도와 확장성을 획기적으로 높여 인텔 파운드리가 인텔 18A를 넘어 프로세스 리더십을 지속할 수 있도록 지원합니다.
인텔은 높은 개구수 EUV가 ASML이 인텔과 수십 년 동안 협력한 끝에 개발한 차세대 리소그래피 시스템이라고 믿습니다. 높은 개구수 EUV의 선구자인 인텔 파운드리는 칩 제조에서 전례 없는 정밀도와 확장성을 제공할 수 있습니다. 이를 통해 인텔은 가장 혁신적인 기능을 갖춘 칩을 개발할 수 있습니다.
ASML이 공급하는 TWINSCAN EXE:5000 High-NA EUV 마이크로섀도우 장치는 여러 보정 단계를 시작할 예정이며 2027년에 출시될 예정이며 Intel 14A 공정에 처음 사용될 것으로 예상됩니다.
* 1nm, 조기 시작
기사 서두에서 언급했듯이 위의 세 가지 팹 외에도 일본의 Rapidus도 2nm 공정에서 떠오르는 세력이 되고 있습니다. 올해 초에는 홋카이도 치토세시에 자사의 2nm 칩 공장 건설이 순조롭게 진행되고 있으며, 계획대로 2025년 4월에 시범 생산 라인을 가동할 예정이며, 2027년 양산 목표를 단계적으로 달성할 수 있다고 자신했다.
그들은 또한 회사가 1nm 공정을 개발할 계획이라고 밝혔습니다. 물론 이 미래 공정은 3개 팹의 새로운 목표가 되었습니다.
비즈니스코리아에 따르면 삼성전자는 오는 6월 최신 기술 로드맵을 공개할 예정이며, 1나노 양산 계획은 2027년에서 2026년으로 앞당겨진 것으로 알려졌다. 삼성전자의 SF1.4 공정은 당초 2027년 양산 예정이었던 TSMC의 1.4나노 공정과 경쟁할 것으로 알려졌다. 이제 회사는 업데이트를 하고 계획을 제시한 것 같습니다.
TSMC는 2027년 A14 노드, 2030년 A10 노드, 즉 1nm 공정 칩에 도달할 계획이다. 그때쯤이면 TSMC의 3D 패키징 기술을 사용하는 칩 트랜지스터의 수는 1조를 넘어서고, 전통적인 패키징 기술을 사용하는 칩 트랜지스터의 수는 2,000억 개를 넘을 것이다.
인텔의 최신 로드맵에 따르면 인텔 14A는 2026년으로 앞당겨지고 2027년에는 새로운 공정인 1nm(인텔 10A)가 추가될 예정이다. 그러나 Intel은 10A 노드에 대한 세부 정보를 공개하지 않았지만 최소 두 자릿수 전력 및 성능 향상이 있습니다. 인텔 CEO 팻 겔싱어(Pat Gelsinger)는 새로운 공정 개선 임계치가 약 14%~15%이므로 10A와 14A에도 이러한 개선이 있을 수 있다고 말했습니다.
인텔의 청사진에 따르면 인텔 14A도 2027년에 최적화될 예정이므로 10A는 14A와 14A-E 사이인 것 같습니다.
그러나 새로운 일정은 보류 중인 EU 보조금 승인과 다른 위치에서 재사용하기 위해 흑토를 제거해야 하기 때문에 건설 시작을 2025년 5월까지 연기한다고 Volksstimme는 보도했습니다. 인텔이 건설 및 툴링 설치를 신속하게 완료할 수 있다면 공장은 2027년 말에서 2028년 초까지 일정대로 진행될 수 있습니다. 그러나 기업에게는 여전히 문제가 될 수 있습니다.
당초 2023년 상반기 착공 예정이었으나 보조금 지급 지연으로 2024년 여름까지 공사가 미뤄졌다. 이제 더 연기되었습니다.
계획대로 인텔의 팹 29.1 및 팹 29.2는 2027년 말에 가동을 시작할 예정이며, 이는 인텔의 14A(1.4nm) 및 10A(1nm) 공정 노드와 같은 매우 구체적인 제조 공정을 채택할 것임을 의미합니다. 이러한 제조 기술은 인텔의 로드맵에서 매우 구체적인 제품을 만드는 데 사용하기 위한 것입니다.
팹 29.1 및 팹 29.2는 2028년 하반기로 예정된 클라이언트 PC 제품을 생산하도록 설계되었으므로 인텔은 용량을 늘릴 시간이 있지만 2028년 중반까지 공장이 준비되더라도 일정이 매우 촉박할 것입니다. 그러나 이 보고서는 인텔이 현재 "두 공장을 짓는 데 4년에서 5년이 걸릴 것"이며 "따라서 생산이 2029년에서 2030년 사이에 시작될 것"이라고 추정하기 때문에 훨씬 더 무서운 그림을 그리고 있습니다
이는 인텔의 부상에 새로운 장애물이 될 수 있다. 그러나 미국에 있는 그들의 베이스캠프와 아일랜드와 이스라엘에 있는 그들의 존재를 감안할 때, 아마도 상황은 보이는 것만큼 나쁘지 않을 것이다.
'Semiconductor' 카테고리의 다른 글
Chip 거물들 = Nvidia NVLink 와 전쟁 벌이기 위해 그룹 결성 (1) | 2024.05.31 |
---|---|
MEMS 칩 파운드리 - 12인치 (300mm) (0) | 2024.05.31 |
화웨이 + SMIC 협력 = 3nm 규모 칩 개발 계획 (0) | 2024.05.30 |
EUV 리소그래피 머신, 기록! ASML 잠재적인 로드맵 공유 (1) | 2024.05.30 |
총 60억 위안 투자 예정 - 12인치 - SD TEK (0) | 2024.05.30 |
댓글